Межзвёздные послания

Со времени Джордано Бруно людям было тоскливо в одиночестве, и вот, когда появилась такая возможность, они стали передавать послания братьям по разуму. Насколько в этом есть смысл, вопрос отдельный, так же как и идеи типа а не приведёт ли "кричание в космос" к инопланетному вторжению?

Я здесь просто опишу некоторые из этих попыток в хронологическом порядке с небольшими комментариями.

Пионеры 1972-73

В 1972 и 1973 годах американцы запустили два аппарата, Pioneer-10 и 11. Это были первые сделанные человеком объекты, которые должны были покинуть Солнечную систему (и покинули). На каждом имеется позолоченная алюминиевая пластина с изображением американца и американки среднего роста на фоне космического аппарата в одном масштабе. Кроме того, приведена информация, позволяющая определить время отправления и координаты старта Пионеров. Для этого служат расстояния до 15 мощных пульсаров, предположительно известных тем, кто будет изучать послание, а также схема Солнечной системы и начального отрезка пути Пионеров. Для задания единиц измерения длины и времени нарисована самая распространённая в природе реакция возбуждения атома водорода. Она производит излучение всем известной частоты и длины волны. В этом масштабе и заданы в двоичной системе все расстояния на картинке.

В общем, всё довольно понятно, хотя мало кто из привлечённых для проверки учёных смог расшифровать символизм послания. Впрочем, Пионеры достигнут окрестностей ближайших звёзд через несколько десятков или сотен тысяч лет, так что у тамошних учёных ещё есть время стать намного умнее наших.

Послание Аресибо 1974

16 ноября 1974 с помощью только что построенного 300-метрового радиотелескопа Arecibo в Пуэрто-Рико в направлении шарового скопления M13 была однократно передана последовательность 1679 бит, из которой можно сложить прямоугольное изображение размером 23 на 73:

Ясно, что это та ещё головоломка, и зелёным человечкам, будет над чем подумать. Во-первых, если они потеряют хотя бы один бит, то сложить прямоугольник уже будет проблемой. Но даже если и сложат, понять, что это всё значит, без длинных объяснений невозможно даже и в раскрашенном виде, даже коренному землянину. Так что я им сочувствую. Ясно, что послание было отправлено просто по случаю праздника.

Для тех, кто, как и я, понять картинку не может, пояснения тут.

Вояджеры 1977

Каждый из двух аппаратов Voyager несёт диск, на котором с одной стороны записаны звуки и изображения Земли, а также электроэнцефалограммы каких-то людей. На другой — информация о положении Земли в пространстве и инструкции по воспроизведению записей. Может быть, когда-нибудь кто-нибудь сможет не только увидеть Землю и услышать её звуки, но и прочитать мысли её обитателей.

Среди прочего, на диске записано обращение землян, которое читает тогдашний Генеральный секретарь ООН Курт Вальдхайм. Не прошло и нескольких лет, как оказалось, что всю II Мировую войну он провёл в рядах гитлеровской армии, в которую вступил добровольцем. После этого с ним не общался ни один из приличных государственных деятелей. Вот конфуз-то, поистине вселенского масштаба...

Евпатория 1999

Это сообщение было передано 70-метровым радиотелескопом в Евпатории. Оно похоже чем-то на послание Аресибо. Всего оно содержит 23 страницы, и понять его намного проще. Попробуйте, вот первая страница:

click to view all pages

Кликните изображение, чтобы увидеть остальное.

Для тех, кто почему-то всё-таки ничего не понимает, здесь подсказки.

Детское послание 2001

Это послание было отправлено тоже из Евпатории. Его авторами являются подростки СССР. Оно содержит не только дискретную информацию, как предыдущее, но и аналоговую.

Начинается оно плавно изменяющимся гармоническим сигналом. С помощью этого сигнала, зная законы распространения радиоволн, можно достаточно точно определить положение его источника.

Затем идёт несколько фрагментов музыки, исполненной на терменвоксе. Этот инструмент даёт синусоидальные сигналы, которые хорошо различимы на фоне космического шума. Вот одна из частей этой записи, Summertime ГершвинаSummertime melody

В конце переданы эмблема проекта, приветствия на русском и английском языках и вспомогательный словарь.

Вот эмблема проекта:

А вот поток бит, который её представляет при передаче:
0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111011111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111111111111111111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111110000000001111110111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111111000000000111111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111111111100000000011111111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100111111111110000000000111111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110011111111111000000000111111111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111110111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111111111111001111111111111111111111011111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111111111111111011111111111111111111111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111111111111101111111111111111111111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101111111111110111111111100111111001111011111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110111111111011011111111111111111111111101111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111101111111101111110011111111111111111111111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111011111111111111100111111001111111110111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111100111111111111111111011100111111101011110011100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111001111111111111111100011111111100111111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111110111111111111111111000111111101011100111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111111100111110011111110011000010011101111011111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111110000000000000000000000000000000000000000001111111110001100100000000000000001101111111111111111000000000000000000000000000000000000000000001111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111111111100000000000000000000000000000000000000110000000000000000000000000000000000000000000001101100000000000000000000000000000000000000000111111111111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111110000000011111110000000000000000000000000000000000010000000000000000000000000000000000000000000000000010000000000000000000000000000000000000011111111111111111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111110000000000000000111110000000000000000000000000000000001100100000111000000000000000000000000000000000000011000000000000000000000000000000000000111111000000000000001111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111100000000000000000000011110000000000000000000000000000000111111111111111111000100000000111111111111111111111111000000000000000000000000000000000111110000000000000000000111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111000000000000000000000000011100000000000000000000000000001011111111111110111101111110111001101100111111110111110111110000000000000000000000000001111000000000000000000000000111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110000000000000000000000000000011000000000000000000000001111101111111111111111111110111111110000011111111111011111000011111100000000000000000000001111000000000000000000000000001111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110000000000000000000000000000000111000000000000000001111110000111111111111111111111011011111110011111111111111111000000000111111000000000000000001110000000000000000000000000000001110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110000111111111101000100000010110001110000000000000111110000000001111111111110111100111101111111111100111111111111100000000000011111100000000000001110000000000000000000000000000000011100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110000111111111111111110011001111100011100000000011111000000000000111111111111111111111111110001110111111111111111110000000000000000111100000000001110000000000000000000000000000000000111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110000011111111111111111001100111100000111000001111100000000000000011111111111111101111111111111111111111111111111111000000000000000000011110000001110000000000000000000000000000000000001110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110000001111111111111111100000010010000001100111110000000000000000000111111111111110111111111110011111111111111111111000000000000000000000001110001110000000000000011100001110000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000011111000000000000000000000011111111111111111111111111011111111111111111111100000000000000000000000001111110000000000000001110111011000000000000001110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000110000000000000000000000001111111111111111011111111111111111111111111111100000000000000000000000000001110000000000000000111011001100000000000000011100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000001000000000000000000000000000000000011000000000000000000000000011111111111111101111111111111111111111111111110000000000000000000000000000111000000000000000011100000110000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000011110000000000000000000000000000000000110000000000000000000000001111111111111011111111111111111111111111111110000000000000000000000000000011000000000000000000000000000000000000000000011100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000011111000000000000000000000000000000000011000000000000000000000000011111111111100111111111111111111111111111111000000000000000000000000000011100000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110000011111000000000000000000000000000000000000110000000000000000000000000111111111110111111111111111111111111111111000000000000000000000000000001100000000011100000000000000000000000000000000011100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000011111100000000000000000000000000000000000011000000000000000000000000011111111111111111111111111111111111111111000000000000000000000000000001110000001101110110000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000011111100000000000000000000000000000000000000100000000000000000000000000111111111111111111111111111111111111111100000000000000000000000000000111000000110111011000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100001111110000000000000000000000111100000000000011000000000000000000000000001111111111111111111111111011111111111100000000000000000000000000000011000000001111111000000000000000000000000000000001110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000111111100000000000000000001111111100000000001100000000000000000000000000011111111111111111111111111111111111100000000000000000000000000000001100000000011111000000000000000000000000000000000111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000111111111000111100000000001110000111000000000110000000000000000000000000000111111111111111111111111111111111000000000000000000000000000000001110010000000111000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000011111111110001100000000101110000000110000000011000000000000000000000000000000111111111111111111111111111111000000000000000000000000000000000111111110000011100000001000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100001111111111101110000000111110000011111100001111100000000000000000000000000000001111111111111111111111111111000000000000000000000000000000000011111111100001110000001111000111000000100001100001011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000111111111110111000000110111000011111110001111110000000000000000000000000000000001111111111111111111111110000000000000000000000000000000000001111111110111111110001111111111111000111000111000111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000011111111111011111000110001100011100111001110011000000000000000000000000000000000001111111111111111111100000000000001111000000110000000000000111111111111111111100111111111111110011100011100010110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100001111111111100110110111000111011100001101110001100000000000000000000000000000000000000111111111111110000000000000000011111100111000000000000011111111101101110100001111000111000000110000100000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000111111111110011011111000011111100000111110000110000000000001100000000110000000000000000000000000000000000000000000000111111011100000000000001111111100000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000011111111111011100011000000111100000110110000011000000000000110000111111000000000000000000000000000000000000000000000000111111110000000000000111111110000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100001111111111001110000000000011000000111000000001100000000000011111111110000000000000000000000000000000000000000000000000001111111111100000000011001000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000111111111000111000000000000111000111000000000110000000000001111111100000000000000000000000000000000000000000000000000000000111111111100000001110000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000011111111000011100000000000001111110000000000010000000001111111111100000000000000000000000000000000000000000000000000000000011111111111100000011000000000000000000000000000000000000000000000001110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000111111000001110000000000000000000000000000011000000011111111110000000000000000000000000000000000000000000000000000000000011100001100110000001100000000000000000000000000000000000000000000000111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000011111000000111000000000000000000000000000001100000111111110111000000000000000000000000000000000000000000000000000000000000110000000000000000110000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000111110000000000000000000000000000000000000100000011100000001110000000000000000000000000000000000000000000000000000000000000000000000000000011100000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000011111000000000000000000000000000000000000110000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000001110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000111100000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000001110000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011100000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000001100000000000000000000000000000000000000000001100000000111000000000000000000000000000000000000000000000110000000000000000000000000000000000000000011100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000001110000000000000000000000000000000001000000000111000000011100000000110000000000000000000000000000000000001100000000000000000000000000000000000000011100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000110000000000000000000000000000000001110000000011001101001100000000111000000000000000000000000000000000000111000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110000000000000000000000000000000000000110000000000000000000000000000000000111001111101111110111111111011011100000000110000000000000000000000000001110000000000000000000000000000000000001110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000000000000000110000000000000000000000000011000000011111111111111100000111111001111110100000011000000000000000000000000000011100000000000000000000000000000000001111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110111000000000000000000000000000000000110000000000000000000000000011100011111111100000111100000001110000001111111011001100000000000000000000000000000111000000000000000000000000000000001110110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110001110000000000000000000000000000001110000000000000000000000000000110110111111100000001110000000111000000011100000111110000000000000000000000000000001110000000000000000000000000000011110001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000011100000000000000000000000000001110000000000000000000000000000011110000001110000000111000000111100000001110000001111111010011100000000000000000000011110000000000000000000000000011110000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000111100000000000000000000000001110000000000000000000111000001111110000000111000000011100000011110000001110000000111000001101110000000000000000000000011110000000000000000000000111100000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000111000000000000000000000011100000000000000000000011100110000111000000011100000001111000001111000000111000000011100000111110000000000000000000000000111110000000000000000001111100000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000001111100000000000000001111100000000000000000000000111110000001110000001111000001101100001101100000111100000001110000001111110000000000000000000000000111111100000000001111111000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000001111110000000000111111000000000000000000000001111110000000111000000111110000110011000110011000111011000001111000000111111101000110000000000000000000011111111111111111100000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000111111111111111100000000000000000001100011111111000000011110000011011000110001100110001100011001100001111100000011110000110011100000000000000000000001111111111110000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000001111111100000000000000000000000111011000011100000001111100011000110011011111111111111011000110001100110000011110000001111100000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000011101100000111000000110111001100011111111110000000111111111111101110011000001111000000011100000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000111100000011110000111001110111110000000000000000000000000001111110001100011111100000001111100000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000001111110000001111100011000111100000000000000000000000000000000000011110110011101110000001111111000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000001111111000000110111001111110000000000000000000000000000000000000000001111111100111000001111000001001110000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000001100000000000000000000000110011000011110000011001111111000000000000000000000000000000000000000000000000011110001100001111000000110111000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000001110000000000000000000000111101100000111100001100111100000000000000000000000011000000000000000000000000000011111110011111100000001111000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000001110000000000000000000000001111100000011111100111111000000000000000000000000011110000000000110000000000000000011111011110110000000111100000000000000000000000001000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000111000000000000000000000000011110000001110111111110000000000000000111000000011001100000000111100000000000000000001111100011000000111111100000000000000000000000110000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000011111111111000011000000000000000000000000111100000000000000000000000111111000000111001111100000000000000000111111000011000011000001110011000000001100000000001110001100000111111110000000000000000000000011100000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000111111111111111111111100000000000000000000000011110000000000000000000000111111110000011000111000000000000000000011001110001100000111000110000110000011111000000000001101110001111110000110001000000000000000000111000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000001111100000000000001111110000000000000000000011111111000000000000000011001111000111100001101110000000000011110000011000001101100000000111110000001100011101100000000000011110001111110000001101110000000000000000011110000000000000000000000000100000000011000000000000000000000000000000000000000000000000000000011110000000000000000000111110000000000000000001111111100000000000000011110110000011111100111110000000000011111110001100000011100000000001110000000111111100011000000000000011111110111000000011111000000000000000001111000000000000000000000000011000111111111111110000000000000000000000000000000000000000000000011100000000000000000000000011100000000000000000111111100000000000000001111111000000111111111100000000000001100011111100000000000000000000000000000001111000001100000000000000011100011000000001111000000000000000000011110010000000000000000000000111111111111111111111000000000000000000000000000000000000000000111000000000000000000000000000111000000000000000000111111000000000000000011111000000011001111000000000000001100000011100000000000000000000000000000000000000000010000011100000000110001100000001111100000000000000000001111111100000000000000000000111110000000000000011111000000000000000000000000000000000000000110000011111111111101100100100000110000000000000000111111110000000000000001111110000001100011000000000000000110000000000000000000000000000000000000000000000000001100111111000000000110110000001111111100000000000000000011111110000000000000000001111000000000000000000001111000000000000000000000000000000000000110000001011111111111110010010000001100000000000000111111110000000000000001111111100000111111000000000000000011000000000000000000000000000000000000000000000000000011111000110000000001111000011111101111000000000000000001111110000000000000000001110000000000000000000000001110000000000000000000000000000000000110000000000000000000000000000000000011000000000000111110000000000000000000110011111000011110000000001111100011100000000000000000000000000000000000000000000000000000110000001000000000011101111111000000110001000000000011111110000000000000000011100000000000000000000000000001110000000000000000000000000000000110000000000000000000000000000000000000110000000000011111000000000000000001100000111111101110000000001100111111100000000000000000000000000000000000000000000000000000000000000110000000000011111111100000011001100000000001111111100000000000000011100000000000000000000000000000011100000000000000000000000000000110000011100000000000000000000000000000001100000000001111000000000000001100110000001111111110000000000110000011100000000000000000000000000000000000000000000000000000000000000011000000000000110001110000001111111000000000011001110000000000000011100000000000000000000000000000000111000000000000000000000000000010000011011000000000000000000000000000000010000000001111000000000000000111011000000110001110000000000011000000000000000001110000000000000000000000000000000001000000000000000000100000000000001100110000000011111000000000000000111100000000000011100000000000000000000000000000000001110000000000000000000000000011000001101100000000000000000000000000000001100000000111000000000000000011111000000011001110000000000001000000000000000111111111110000000000000000000000000001100000000000000000011000111100000011011000000011111000000000000000001111000000000011100000000100000000000000000001111000011100000000000000000000000011000000011100000000000000000000000000000000011000000011000000000000000000111110000001111110000000000001100000000000001111111111111000000000000000000000000011110000000000010000001111111111000000111100000111111110000000000000000011100000000001100000000111000000000000000001111111000110000000000000000000000001000000001111111111110000000000000000000000001100000000000000000000000000011111100000011110000000111100110000001110011111111111110000000000011111100000000000111111100000001100000011100001100000001110111111111111000000000000000001110000000001100000010011101100000000000001111111100001100000000000000000000001100000000111111111111000000000000000000000000011000000000000000000000000111111111100001100000000111111111000000011111111111111100000000000111100111110000000000011111110000110000000000000010000000011111111110000010000000000000000011100000001110000011101110110000000000000111111111000011000000000000000000000110000000011111111111100000000000000000000000001100000000000000000000000011000111111111100000000110000011000000000111111111111100000000001110000000001110000000000111111111111100000000000001000000000111000011000001100000000000000000110000000110000000111111110000000000000011111111100001100000000000000000000010000000001111111111110000000000000000000000000010000000000000000000000111000001110111110000000011000000000000000111111111111100000000001100000000000001100000000001111111111110000000000000100000000001100011000000110111100000000000000000000110000000001110110000000000000001111111100000011000000000000000000011000000000110111111001100000000000000000000000001100000000000000000011111100000011000110000000001100000000000000111111111111100000000001100000000000000011000000000011111111111100000000000010000000000011011100000011111110000000000000000000011000000000001110000000000000000011111110000001100000000000000000001000000000010001111000010000000000000000000000000110000000000000000011111100000001100110000000000110000000000000111111111111110000000011111111111111111110110000000000111111111111000000000001100000000000111100000001111110000000000000000000011100000000000111000000000000000000111110000000011000000000000000000100000000001000111100000000000000000000000000000001000000000000000000111110000000111110000000000011000000000000111111111110011000001111111110000000001111111110000000001111111111110000000000111111111000001110000001111110000000000000000000001100000000000011100000000000000000000000000000001100000000000000000110000000000110111011111100000000000000000000000000110000000000000000001111110000001110000000000001100000000000111111111110001000111111000000000000000000000011111000000001111111111110000000000111001100000111011111111111000000000000000000000110000000000011111000000000000000000000000000000111000000000000000011000000000010011111111100000000000000000000000000011000000000000000001111111110000110000000000001110000000000111111111100000011111011000000000000000000000000111111000000011111111111100000000000000011000001111111111101110000000000000000000110000000000111111110000000000000000000000000000001100000000000000001100000000001000111100110000000000000000000000000000100000000000000000111011111111111000000011111110000000000111111111000000111100011000000000000000000000000001100111000000111111111111000000000000001100000011111111100011100000000000000000011000000000111111111111000000000000000000000000000110000000000000000110000000000100011111111100000000000000000000000000010000000000000000011000111011111000000111111100000000000011111110000001111000011000000000000000000000000000011000111000000111111111100000000000000110000000100001100000111000000000000000001100000001111111111111110000000000000000000000000011000000000000000011000000000011111111111110000000000000000000000000001000000000000000011000001100011000000110000000000000011111111000000011110000011000000000000000000000000000000110000111000001111111111000000000000110000000011001100000011100000000000000000110000001111111111111111100000000000000000000000001100000000000000001100000000000000000000000000000000000011000000000000100000000000000001100000111001100000011000000000000000111100000000011100000111000000000000000000000000000000001100000111001111111111100000000000011000000000111110000000111111000000000000011000001111111111111111111000000000000000000000000111000000000000000110000000000000000000000000000000000001100000000000010000000000000100110000001101100000001100000000000000011000000000111000000110000000000000000000000000000000000011000000110011000111110000000000001100000000001110000000111111100000000000011100001111111111111111110110000000000000000000000001100000000000000011000000000000000000000000000000000000000000000000001000000000000111111000000111100000000110000000000000001100000000111000000110000000000000000000000000000000000000110000001110000000111100000000000111001000000110000001111111100000000000001100000101111111111111111101100000000000000000000000110000000000000001100000000000000000000000000001111111111110000000001100000000000011111100000001110000000011000000000000000100000000110000001110000000000000000000000000000000000000001100000001100000000111000000000001111111100001111111111111100000000000000110000110000111111111111111010000000011111001001100011000000000000000010000000000000000000000000000111110011111000000000110000000000000111111100001110000000000110000000000000000000001110000011100000000000000000000000000000000000000000011100000011000000000100000000000000000110000111111111111110000000000000011000010110111111111111111001100000001111101110110011100000000000000001000000000000000000000000000011110000111100000000011000000000000011111111111111000000000011000000000000000000001111111111000000000000000000000000000000000000000000000011100000110000000000000000000000000001000001100011110001100000000000001110001111111111111111111100010000000111110000011001110000000000000000110000000000000000000000000001111100111110000000001000000000000011111111111111000000000001100000000000000000011111111000000000000000000000000000000000000000000000000000011100001100000000000000000000000001100000110011110000011000000000000011001011111111111011111110001000000000000000000000110000000000000000011000000000000000000000000000111111111111000000001100000000000001100011111001100000111111110000000000000001111100000000000000000000000000000000000000000000000000000000000011111011000000000000000000000000110000001111100000001100000000000001100111111111111001110110000100000000000000000000011000000000000000000100000000000000000000000000010001111111100000000110000000000000100000011101100000111111100000000000000011101100000000000000000000000000000000000000000000000000000000000000001111110000000000000000000000011000000111110000000110000000000000110011111111111000011000000010000000000000000000001100000000000000000011000000000000000000000000001000011111110000000010000000000000011000000111110000011000000000000000000011001100000000000000000000000000000000000000000000000000000000000000000000001111000000000000000000011000000001110000000011011000000000011001111111111000000000000001000000000000000000000110000000000000000001100000000000000000000000000100011011111000000011000000000001001100000001110000001100000000000000000111001110000000000000000000000000000000000000000000000000000000000000000000000011111000000000000000001100000000110000000011111110000000000110110011111100000000000000100000000000000000000110000000000000000000011000000000000000000000000011111111111110000001000000000001111110000000011000000110000000000000000110000110000000000000000000000000000000000000000000000000000000000000000000000000110111000000000000000100000000001111110111111111000000000011001001111100000000111000110000000000000000000011000000000000000000001100000000000000000000000001111111111110000001100000000000111111000000011000000011100000000000000110000110000000000000000000000000000000000000000000000000000000000000000000000000001000110000000000000010000000000111111111111100000000000001100100111110000001111100010000000000000000000001100000000000000000000011000000000000000000000000111001110111000001100000000000011111111111111100000000110000000000000010000110000000000000000000000000000000000000000000000000000000000000000000000000000110001100000000000001100000000001111111111110000000000000011011001111000001111111001000000000000000000001100000000000000000000000110000000000000000000000011111111111110000110000000000000011111111111100000000011000000000000011000110000000000000000000000000000000000000000000000000000000000000000000000000000001100011000000000000011110000000110011110001100000000000001100100111100000111111001000000000000000000000110000000000000000000000011000000000000000000000001111111111110000110000000000000001111111100110000000000110000000000011000011000000000000000000000000000000000000000000000000000000000000000000000000000000010000110000000000000111110000011011100000111000000000000011001001110000011111101100000000000000000000110000000000000000000000000110000000000000000000000000000000000000110000000000000001100001110011000000000110000000000001100011000000000000000000000000000000001111111111111000000000000000000000000000000000001100001000000000000000011100000111100000001100000000000001100110000000000001101100000000000000000000011000000000000000000000000001100000000000000000000000000000000000110000000000000000110000011111000000011110000000000000100001000000000000000000000000000001111110000100001111100000000000000000000000000000000010000110000000000000000110000011110000000110000000000000011001100000000011111100000000000000000000011000000000000000000000000000011000000000000000000000000000000000110000000000000000010000000111100000111100000000000000010001100000000000000000000000000001110000100001000000011110000000000000000000000000000001100001000000000000000011000001110000000011000000000000000110001101111111111000000000000000000000011000000000000000000000000000000111000000000000000000000000000000110000000000000000011000000001110000111000000000000000001000100000000000000000000000000011100000001100001111110011100000000000000000000000000000010000110000000000000011000000010000000001101100000000000011100001111111110000000000000000000000011100000000000000000000000000000001110000000000000000000000000001110000000000000000000100000000110000011000000000000000000100110000000000000000000000000011000000000001000000000010001100000000000000000000000000001100001000000000000001100000001111110011111111000000000000111000000000000000000000000000000000011100000000000000000000000000000000001110000000000000000000000001110000000000000000011111000011111000001100000000000000000010010000000000000000000000000110000000000000011000001110000011000000000000000000000000000010000100000000000001100000000111111111111111100000000000001110000000000000000000000000000000011100000000000000000000000000000000000011110000000000000000000011100000000000000000011111111111111100000011000000000001100001011000000000000000000000000110000000000000000011111000000000010000000000000000000000000001100010000000000000100000000011101111111110100000000000000011100000000000000000000000000000011100000000000000000000000000000000000000011110000000000000001111000000000000000000000111111111100110000001110000000000110000101100000000000000000000000110000000000000000000000000000000000100000000000000000000000000010001000000000000110000000000100011111111000000000000000000111000000000000000000000000000111100000000000000000000000000000000000000000011111111001111111110000000000000000000000000111111110110000000011000000000111000011100000000000000000000000110000000000000000000000000000000000001000000000000000000000000001100100000000000001110000000011011110001110000000000000000000111000000000000000000000000111000000000000000000000000000000000000000000000011111111111111000000000000000000000000000110001111011000000000110000000111100001110000000000000000000000110000000000000000000000000000000000000010000000000000000000000000110010000000000000011110000001111100000011000000000000000000001111100000000000000000001111000000000000000000000000000000000000000000000001100000000000000000000000000000000000000011000001111100000000011000000111110000110000000000000000000000010000000000000000000000000000000000000001100000000000000000000000001001000000000000000011100000111100000001100000000000000000000001111110000000000000111110000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000011110000000011100000111111000011000000000000000000000011000000000000000000000000000000000000000011000000000000000000000000111100000000000000000011000011100000000110000000000000000000000001111111111111111111100000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000100000000110000000011100000011111100001100000000000000000000011100000000000000000000000000000000000000001100000000000000000000000011100000000000000000001100000110000000011000000000000000000000000000111111111111111000000000000000000000000000000000000000000000000000001000000000000000000000001000000000000000011000000011000000011100000011111110000110000000000000000000001100000011100000000000000000000000011000000111000000000000000000000000110000010000000000000110000011111000011101100000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000100000000000000000000000110000000000000001100000011100000011000000001111111000011100000000000000000001110000000011110000000000000000011111000000011100000000000000000000000011000001111000000000011000001111111111111111000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000110000000000000000000000111000000000000111111000111110000011000000000111111100011110000000000000000000111000000000001110000000000000111000000000001111000000000000000000000001100000111000000000011000000110011111111111000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000011000000000000000000000011100000000000111111111111111000001100000000011111111001101000000000000000000111100000000000001110000000001110000000000000111100000000000000000000000110000001000000000011000000011000111111111100000000000000011000000000000000000001000000000000000000000000000000000000000000000000000001100000000000000000000001110000000000001111111111001100000110000000011111111100110100000000000000000011110000000000000001110000001100000000000000001110000000000000000000000011000001110000000001000000001101111111111000000000000000001100000000000000000000100000000000000000000000000000000000000000000000000000110000000000000000000000111000000000000001111111111110000011100000001111111111011011000000000000000001101000000000000000001100000000000000000000000111100000000000000000000011100000111000000001100000000011111000001100000000000000000110000000000000000000010000000000000000000000000000000000000000000000000000011000000000000000000000011100000000000000110000011111000000111000000111111110101001100000000000000001110000000000000000000000000000000000000000000010110000000000000000000001010000011100000000011000000001110000000110000000000000000111000000000000000000001000000000000000000000000000000000000000000000000000001100000000000000000000001110000000000000110000000111100000001110000011111111000100110000000000000000110000000000000000000000000000000000000000000000001000000000000000000001001000001111000000001111000000110000000001000000000000000011100000000000000000000100000000000000000000000000000000000000000000000000000110000000000000000000000111000000000000000000000001110000000011100011111111100010011000000000000000011000100000011111110000000000000011111100000000000110000000000000000000100110000111100000000001110000011000000001100000000000000001110000000000000000000010000000000000000000000000000000000000000000000000000011000000000000000000011111100000000000000110000000110000000000110011111111110011000100000000000000001100010000001001111100000000000111111011000000000011000000000000000000010011000011110000000000011100001111000000110000000000000001111000000000000000000001000000000000000000000000000000000000000000000000000001100000000000000000011111111110000000000011000000111000000000110001011111111001100010000000000000000110001000000011111101100010000110111101100000000001100000000000000000010001100001111100000000000110000111111100111010000000000000111000000000000000000000100000000000000000000000000000000000000000000000000000110000000000000000000111111111000000000001110001111100000001110000001111111000110001000000000000000111000100000000111111110001000011011111100000000000110000000000000000001000110001111110000000000011000011011111111111100000000010011100000000000000000000011000000000000000000000000000000000000000000000000000011000000000000000000000111111100000000011111111111110000001110000000111111100011001100000000000000011100010000000000011100000100000111111000000000000011000000000000000000100011000111111000000000001100001100011111111110000000001111111110000000000000000001100000000000000000000000000000000000000000000000000001100000000000000000000011100000000000011111111110001000000110000000011111110001100110000000000000001111001000000000000000000010000000000000000000000001100000000000000000110001100011111100000000001100000110111111111111000000000111111111000000000000000000100000000000000000000000000000000000000000000000000000110000000000000000000001110000000000001111111111100100000110000000000111111000110011000000000000000111100100000000000000000001000000000000000000000000110000000000000000011000110001111111111000001100000011111100111100000000000000011111100000000000000000010000000000000000000000000000000000000000000000000000011000000000000000000000111000000000000000111011111110000011000000000011111000011001100000000000000011110010000000000000000000100000000000000000000000011000000000000000001100011000111111111000001100000001111000000110000000000000001110000000000000000000001000000000000000000000000000000000000000000000000000001100000000000000000000011100000000000000011000001111000000110000000001111100001100110000000000000000111000000000000000000000010000000000000000000000011100000000000000000100001100011111111000001100000000110000000001000000000000000111000000000000000000000100000000000000000000000000000000000000000000000000000110000000000000000000001110000000000000011100000001110000001100000000111110000010010000000000000000011100010000000000000000001000000000000000000001001100000000000000000010000110001111111100000110000000011000000000100000000000000011100000000000000000000010000000000000000000000000000000000000000000000000000011000000000000000000000110000000000000001100000000111000000011000000011110000001011000000000000000001111001000000000000000000100000000000000000000111110000000000000000001000011000111111100000011000000001110000000110000000000000001110000000000000000000001000000000000000000000000000000000000000000000000000001100000000000000000000011000000000000000011000000111100000000110000001111000000111000000000000000000111111100000000000000000010000000000000000000111111000000000000000000100001000011111110000000110000000111110000011000000000000000111000000000000000000000100000000000000000000000000000000000000000000000000000110000000000000000000001100000000000000001110001111110000000001100000011100000011100000000000000000011111110000000000000000001000000000000000000011111100000000000000000011000100101111111000000001100000110011111011100000000000000011100000000000000000000010000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000011111110011000000000110000001100000001110000000000000000000111111000000000000000011111000000000000000011111100000000000000000001100110011111111100000000011000011000111111111100000000000001110000000000000000000001000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000001111111111001100000000110000001110000000110000000000000000000011111110000000000000000011000000000000000001111110000000000000000000110011001111111110000000001100001100111111111111000000000000110000000000000000000001100000000000000000000000000000000000000000000000000000110011111110000000000000000000000000001111111111111110000000111000001111000000011000000000000000000001111111000000000000000000000000000000000000111111000000000000000000001001100011111111000000000110000111111111111111100000000000011000000000000000000000110000000000000000000000000000000000000000000000000000111111111111111100000000000000000000000011111111111111000000110000000001100000001100000000000000000000011111110000000000000000000000000000000000111111000000000000000000000100100000111111100000000111000011111000001101100000000000000000000000000000000000011000000000000000000000000000000000000000000000000011111100000000011111110000000000000000000000001100000111100000110000000000011000000110000000000000000000001111111000000000000000000000000000000000011111100000000000000000000011010000011111100000001111000001100000000110000000000000000000000000000000000000001100000000000000000000000000000000000000000000000111100000000000000000111110000000000000000000001110000000011000011000000000000000000011100000000000000000000011111110000000001111100010000000000000011111100000000000000000000001111000001111110000011110000000110000000001000000000000000000000000000000000000000110000000000000000000000000000000000000000000001111000000000101110000011011100000000000000000000110000000001100001100000000000000000011110000000000000000000000111111000000000111111111111000000000011111110000000000000000000000011000000111110000001100000000111100000000100000000000000000000000000011111111111111000000000000000000000000000000000000000000001110011111111111111011001100011100000000000000000000000000001110000110000000000000000001101000000000000000000000011111110000000000000111000000000000011111110000000000000000000000001100000001111000000110000000011111000000110000000000000000000000001111111111111111111100000000000000000000000000000000000000001110001111111111111101100110000111000000000000000000110000001111000001110000000000000000110110000000000000000000000111111100000000000110000000000000001111110000000000000000000000000110000000111000000011000000001101111000111000000000000000000000011111100000000000011111100000000000000000000000000000000000001100000111111111111110000010000000110000000000000000001100001110110000011111000000000000011001000000000000000000000001111110000000000011110000000000001111110000000000000000000000000011000000011000000001110000000110011111111000000000000000000000111100000000000000000001111000000000000000000000000000000000001100000000111111111111111110000000001100000000000000000111111110011000000001110000000000001100110000000000000000000000011111100000000000000000000000001111110000000000000000000000000001100000001100000000011100000011111111111111000000000000000000111000000000000000000000001111000000000000000000000000000000001100000000111111000000000001110000000011000000000000001001111111101100000000011000000000000110011000000000000000000000000111111000000000000000000000001111110000000000000000000000000001110000000110000000000110000011111111111111110000000000000000111000000000000000000000000001110000000000000000000000000000001100000000110000000000000000011100000000110000000000001111111111111110000000011000000000000011000100000000000000000000000001111110000000000000000000001111110000000000000000000000000000101100000000000000000011000001111100001111111000000000000001110000000000000000000000000000011100000000000000000000000000001100000000110000000000111100000011000000001100000000000111111100001111100000001100000000000001100011000000000000000000000000011111100000000000000000000111110000000000000000000000000000110110000000000000000001100000110000000011011000000000000001110000000000000000001100001111100011000000000000000000000000000110000000110000011111111110000000111000000111000000000001101100000000110000001100000000000000110000100000000000000000000000000011111000000000000000001111110000000000000000000000000000010011000000000000000001100000011000000001100000000000000001110000000000000000000111001111110000110000000000000000000000000110000000110000001111111111001110000110000001100000000000000110000000011000001100000000000000001000011000000000000000000000000000111110000000000000001111100000000000000000000000000000011001100000000000000011100000011110000000110000000000000000110000000000000000000011111111100000001100000000000000000000000011000000110001100111111111100111100001100000011000000000000011000000011100000110000000000000000110000100000000000000000000000000000111110000000000011111000000000000000000000000000000001000110000000000000111100000001111100000011000000000000000110000000000000000000001111111100000000011000000000000000000000011000000110000110011111111110111111000011100001100000000000001100000011111000011000000000000000001100011000000000000000000000000000000011111111111111100000000000000000000000000000000001100011000000000000110000000001100111000011100000000000000110000000000000000001111111111000000000001110000000000000000000001100000110000111100111111110011111110000011000011000000000000011000011101100000110000000000000000110000110000000000000000000000000000000000111111100000000000000000000000000000000000001100001000000000000110000000000110001111001100000000000000110000000000000100001111111110000000000000011000000000000000000001100000110000111111001111111011111011100000110001100000000000001111111100011000001111110000000000001100001000000000000000000000000000000000000000000000000000000000000000000000000000000100000100000000000011000000000011111111111110000000000000011000000000110110001111110011100000001100000110000000000000000000110000110000111111100000000001111111111000001100110000000000000011111111111100000000011100000000000011100110000000000000000000000000000000000000000000000000000000000000000000000000000110000110000000000001100000000011111111111111110000000000011000000000111110001110000001110000000110000011000000000000000000110000110000111111110000011110011111111110000110001100000000000111111111111110000000000110000000000000011001100000000000000000000000000000000000000000000000000000000000000000000000000110000010000000000000011000000001100000011111111000000000001100000000111111100000011000011000000111100000110000000000000000011000010000111111110001111111110011111110000011000110000000000111111100000001100000000011000000000000000111111000000000000000000000000000000000000000000000000000000000000000000000000110000011000000000000001100000001110000000011111100000000001100000000011111110000011110000000000011110000011000000000000000001100011000011111110001111000001100111110000001100011000000000011101100000000110000000001000000000000000000011110000000000000000000000000000000000000000000000000000000000000000000000010000011000000000000000011000000111100000001100100000000000110000000000000110000011111000000000001111000000110000000000000000110001100000011110001111000000011001110001100110001100000000000100110000000111000000001100000000000000000000011110000000000000000000000000000000000000000000000000000000000000000000011000011000000000000000001100000111110000000110000000000000011000000000000000011000011000011000000011000000011000000000000000011000110000000010001111101110000110010111110011000110000000000000011000000111110000000110000000000000000000000111111000000000000000000000000000000000000000000000000000000000000000011000011000000000000000001100000011011100000010000000000000011000000000000000011100001100001100000001100000001100000000000000011100011000111000000111100111000001101111111001100001100000000000000110000011001000000011000000000000000000000001100111100000000000000000000000000000000000000000000000000000000000011000111000000000000111111110000011000111000011000000000000001100000000000000001110001111001110000001111000000011000000000000001100000100111111000111111000000000010111111100110000110000000000000011100011100110000011000000000000001100000000011000011100000000000000000000000000000000000000000000000000000000011001110000000000000111111100000001111111111011100000000000000110000000000000000001111100111110000000111110000001100000000000000110000010011111110011111110000000001001111110001000011000000000000000111011110001000000110000000000000011100000000111000111000000000000000000000000000000000000000000000000000000111011100000000000000011000000000000111111111111100000000000000011000000000000000000011110011110000000111111000000110000000000000011000001001111111001111111110000000100111111000100001100000000000000001111111111110000011111110000000000111100000000110000110000000000000000000000000000000000000000000000011111111110000000000000000001100000000000110001111111111000000000000001100000000000000000000111001110000000111111100000011000000000000001100000100111111100111111111110000010011111100010000110000000000000001111111111111000000011111110000000011111101111001100001110000000000000000000000000000000000000000011111111110000000000000000000000111000000000111000000011111110000000000000110000011100010000000000111100000000011111111000001100000000000000111000010001111110011111111111100001001111110001000010000000000000001111111000000110000000000011000000001111111111110011100001100000000000000000000000000000000000000111100000110000000000000000000000001100000000011100000000111111000000000000011000001111011100001110011110000000000011111000000110000000000000001100001000111111001111111111110000100111111000100011000000000000001111110000000011100000000001100000000111111111100000011000011000000000000000000000000000000000001110000001100000000000000000000000000110000000011111000000011011000000000000001100000011111110001111101111000000000011111100000011000000000000000110000100011111100011111111111000110011111100010001100000000000000011011000000011110000000000110000000001111111111100000111000100000000000000000000000000000000001100000011100000000000000000000000000011000000011001100000001100000000000000000110000000111110011111100111100000000011111111000001100000000000000011000010001111000001111111111100110000000010001000110000000000000000001100000001101100000000011000000000011111111111000000111011000000000000000000000000000000001100000111000000000000010000000000000001100000001000111000001100000000000000000011000000011000011111000110110000000011111111110000110000000000000001100001000000000000011111111110011001111000000100011000000000000000000110000001100011000000001100000000000111111111111000000111110000000000000000000000000000011000001110000000000000111000000000111111100000001111111110001110000000000000000001100000001000001111100011001100000011111111111000011000000000000000010000110000000000000111111111111001111111000010011000000000000000000000110001110111100000000110000000000001111111111110000000111100000000000000000000000000011000011100000000000011111100000000111111000000001111111111111110000000000000000000110000001100000111110011101111000001100111101100001100000000000000001100011000000011110001111111110001111111100011001100000000000000000000011111111111111000000011000000000000011111111111100000000111100000000000000000000000011001111000000000001111110011000000110000000000000110011111111110000000000000000000011000011111111111111111111111111111111111111111000110000000000000000110001100000111111000000111100001111111100011000110000000000000000000000111111100000110000001111111110000000011111111111100000000111111000000000000000000011111100000000000111111110000000000110000000000000111000000111111100000000000000000001100011111111111111111111111111111111111111111100110000000000000000001100010000011111111000000000000111111100011000110000000000000000000000011111000000011100000011111111100000000111111111111000000001001111111111000011111111110000001000001111111110000000000001000000000000111100000001111111000000000000000000011001111111111111111111111111111111111111111110011000000000000000000110001100000111111110000010110001111100011000011000000000000000000000011111000000011111000000000000110000000001111111111110000000010000001111111111111111000000001100111111111110000000000000110000000000110111000000011111100000000000000000001100111111111111111111111111111111111111111111001100000000000000000001100011000001111111001111111000111110011000011000000000000000000000011111100000001101100000000000011000000000110001111111100000001100000000000000000110000000000110111111111110000000000000011000000000110001100000011011100000000000000000000110011111111111111111111111111111111111111111101100000000000000000000110000110000011111000111111110001110011000011100000000000000000000000110111000000110011000000000001100000000010000000001111110000001100000000000000110000000000111111111111110000000000000001100000000011110111000001100000000000000000000000001101111111111111111111111111111111111111111110110000000000000000000001100001100000111100111111111000110001000001100000000000000000000000000001000000110001110000000000110000000000000000000000111110000011000000000001110000000000011111111111110000000001110000110000000011111111110001100000000000000000000000000110111111111111111111111111111111111111111111111000000000000000000000110000001100001110011111111110000001100001100000001100000000000000000000001000111111111100000000011000000000000000000000011000000000111110000111100000000000011111111111110000000001111111110000000111100111111101110000000000000000000000000001111111111111111111111111111111111111111111111000000000000000000000001100000010000010001111111111000001100001100000000111000000000000000000001110011111100111000000001100011110000000000000000100000000000011111111000000000000111111111111111000000001100001110000000111110000111111110000000000000000000001000000111111111111111111111111111111111111111111111100000000000000000000000011000000110000000111111000000001100001110000000001110000000000000000000011111110000011110000000011111111100000000000000000000000000000000000000000000001111111111111111110000000110000000000000010011000000111110000000000000000000001100000001111111111111111111111111111111111111111111100000000000000000000000000110000001100000000000000000001100001110000000000111100000000000000000000111100000001101100000000010000011000000000000000000000000000000000000000000001111111111111000011100000011000000000000110001100000001111100000000000000000001100000000111000000000000000000000000000000000000001100000000000000000000000000001100000011001111111111111111100001110000000000001111000000000000000000111110000000110011100000000000001100000000000000000000000000000000000000000001111111111110000000000000001100000000000111100111000000111111000000000000000001110000000001100000000000000000000000000000000000001110000000000000000000000000000011000000111111111111111111000001100000000000000011110011000000000000111111000000110011111000000000000110000000000000000000000000000000000000000000000001110000000000011110000110000000000111111111100000110111000000000000000011110000000000011000000000000000000000000000000000001110000000000000000000000000000000111000000000000000000000000011100000000000000000111111110000000000001100100000011111111110000000000011000000000000000000000000000000000000000000000000000000000000011111111110000000000111001111111000011000000000000000110011111000000000000110000000000000000000000001000000001110000000000000000000000000000000001111000000000000000000000011100000000000000000011111110000000000000000010000011111100011100000000000100000011110000000000000000000000000000000000000000000000000011000011111000000000111100001111110111000000000000000011101111000000000000001110000000001111110011011110000001110000000000000000000000000000000000001111000000000000000001111000000000000000000001111110000000000000000000111111110000001111100000000011000111111000000000000000000000000000000000000000000000000001100000000000000001110110000001111111000000000000000011111111000000000000000011100000000111111001101111000001110000000000000000000000000000000000000001111110000000000111111000000000000000000001111111000000000000000000001111110000000110111000000001110111000110000000000000000000000000000000000000000000000000110000000000000001100011000000011111000000000000000000111111000000000000000000111000000011111100000000000011110000000000000000000000000000000000000000001111111111111111101100000000000000000000111111110000000000000000000001111000000011001110000000011110000011000000000000000000000000000000000000000001110000011000000000000001111001110000000111110000000000000000000111110000000000000000001111000000000000000000000011100000000000000000000000000000000000000000000000001111111100000011000000000000000000001101111000000000000000000011111100000001100011110000000000000000100000111100000000000000000000010000000001111100001100000000000011111111111000000111111000000000000000000111111100000000000000000001111100000000000000011111100000000000000000000000000000000000000000000000000000000000000001100000000000000000000000011110000000000000000001110110000000110111111100000000000000010000111011000000011100000000011100000001100011101100000000000111110011111100000011011100000000000000000111111110000000000000000000011111111100000011111111000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000001111000000000000000000110011100000111111000111100000000000001100110000110000011111000000011011000001100000111110000000000111111000011111000011000100000000000000000111100110000000000000000000011001111111111111111100000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000011110000000000000000000000100100011110000011111100000000000011110000011000011000110000011000110000110000000100000000001110011100000111111111000000000000000000000011110000000000000000000000001100000011111111100000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000111000000000000000000000000111111110000011100111100000000000100000000110001100001100001100001100110000000000000000011111000110000001111111000000000000000000000001110000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000110000000000000000000000001111110000001110011111100000000000000000001111100000111001100000011110000000000000000111111111111000000011110000000000000000000000001110000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000001111000000111011101111100000000000000000011000000001111100000000000000000000000011110110011111100000011111100000000000000000000000110000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000001111100000011111100011111110000000000000000000000000001100000000000000000000000111100011000111110000001101110000000000000000000000110000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000001110011000001111000001100111111000000000000000000000000000000000000000000000011111110001100000111100001100111000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000011001101111111000000110011111111100000000000000000000000000000000000000001111110001100100000001111111100000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000001111111100000011011100110011111000000000000000000000000000000001111100011000011111000000111111100000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000011100000001111100011000011111111100000000000000000000111111111110001100000111100000011110000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000111111000000000000000000000000000011111000000111000001100011001101111111111111111111111111110010011100110000011110000011111100000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000001111111111111111000000000000000000000011101100000111100000110011000110001110110111111111001100110011000011111000000111100001101110000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000011111110000001111111100000000000000000001110010111111100000001111000011000110011000110011000110011001100001111000000011111111000011000000000000000000000001111111000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000111110000000000000001111100000000000000000000000011111110000000111100001100110000110111001110110000110110000011100000000111111000000000000000000000000011111111111111110000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000001111100000011101101110011111000000000000000000000000001111100000111100000111110000011011000011111000011111000000111000000111110000000000000000000000000111111111111111111111000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000011111111111111110110111111100111000000000000000000000001110111000011100000001111000001111100000111000000111100000011100000111111000000000000000000000001111100000000000000111111000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000011111111111110000010011111000001110000000000000000000000111001001111111000000111000000111100000011100000011110000001111111110011100000000000000000000011110000000000000000000011110000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110011100111111111000000000101100000011100000000000000000000011000000101111110000111100000001110000001110000000111000001111111000000100000000000000000000111100000000000000000011000011110000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111111111111111111111111111111111111000000000000000000000000000000111011001111110000000111000000111000000111111001111110000000000000000000000000000111000000111111111101101110000011100000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111011010111111011111111111111001101110000000000000000000000000000111100001111111100000111100000011110000111111110100111000000000000000000000000000111000000011111111110110011000000111000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111000000000000001111110000000000000111100000000000000000000000000001100000000111111011111111000111111110111011000000011100000000000000000000000000111000000001111111111000001000000001110110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011101100000000000001111111000000000000011011000000000000000000000000000000000000011101101111111110111111011000011100000000100000000000000000000000000110000000000000000000000000000000000001110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100110000000000000111111100000000000001101100000000000000000000000000000000000001110000000111001000001100000001111000000000000000000000000000000000111000011000000000000000000000000000000111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100011000000000000001111100000000000000110011000000000000000000000000000000000000110000000011100000000111000000011000000000000000000000000000000000111000011111000000000000000000000000110001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110001100000000000000011110000000000000011001100000000000000000000000000000000000000000000000100000000011000000000000000000000000000000000000000000111000011001100000000000000000000001111100011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000110000000000000011011000000000000001100011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000001100110000000000000000000000110110000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000011000000000001111000111100000000000110000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000011110000000000000000000000011001100011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000001100000000011110000000111100000000011000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000111000000000000000000000001111100000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000110000000011100000000000011100000001100001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000001110110000000000000000000000011110000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000011000000011001100011111110111100000110000011000000100000000011100000000000000000000000000000000000000000000000000000000001110000000000000000110000001100000100000000000000000000001111000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000001100000111111110001111111111111110011000001100000011111110001110000000000000000000000000000000000000000000000000000000000011000111111100000111000001100000001000000000000000000001100110011011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000110001111111001100111110000001111101100000110000000111111100110000000000000000000000000000000000000000000000000000000000001111111111100000011000000111000011100000000000000000001100011011101100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000011011111100000110001111000000001100000000011000000001111111111000000000000000000000000000000000000000000000000000000000111111111111100000001100000111100001111000000010000000001110001111100011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000001111111100000011000001100000000000011000000100000000000111111111000000000000000000000000000000000000000000000000000001111111111110000000001110000111011000111100000111100000001111111111100001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000111110000000000100000100000000000001100000011000000000000011111111000000000000000000000000000000000000000000000000001111111111000000000000110000111101100011111000011111000000111111111110000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000011011000000000010000010000000000000110000001100000000000001111111110000000000000000000000111111100000000000000000011111110011100000000000011000111100110001101100000111000000111011011010000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000001101100000000001000001000000000000011000000110000000000000111001111110000000000000000111111111111111100000000000000111000001110000000000001100111100011000110111000011100001111001101100000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000110110000000000110100100000000000001100000011000000000000011000001111100000000000001111100000000001111110000000000000000000000000000000000110011000001100011001111111111111111000100110000000111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000011011000000000011010010000000000000110000001100000000000000000000000000000000000011100000000000000000011110000000000000000000000000000000011000000000111101100001111111111110000110101100000011100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000001101100000000001101001000000000000011000000100000000000000000000000000000000000111100000000000000000000011110000000000000000000000000000001100000000010110110000000111110000000011111110000001110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000110110000000000110110100000000000001100000010000000000000000000000000000000001111011001000000000000000000011100000000000000000000000000000110000000001110111000000011111000000001111111000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000011011000000000010011010000000000000110000011000000000000000000000000000000001111101101100110000000000000000111000000000000000000000000000011000000001111011100000001111100000000111111100000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000001101100000000001011101100000000000011000001100000000000000000000000000000001100011110110011000000000000000000110000000000000000000000000001100000000111001110000000111110000000011101110000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000110110000000000101110110000000000001100000110000000000000000000000000000001111001111111011000000000000000000001100000000000000000000000000110000000011100111100000011111000000011110111000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000011011000000000110111011000000000000110000010000000000000000000000000000001100111111111111101110000000000000000011000000000000000000000000011100000011110001110000001111100000001110011100000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000001101100000000011011101100000000000011000011000000000000000000000000000001100011111111111101110000000000000000000110000000000000000000000000110000001110000111000000110110000000111001110000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000110110000000001101111110000000000001100001100000000000000000000000000000101001111000001111110000000000000000000001100000000000000000000000011000000111000011100000011011000000111000111000001110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000011010000000000111101111000000000000110001100000000000000000000000000000100111110000000011110110001111111110000100011000000000000000000000001110000011100000110000011101110000011100001110000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100001101000000000011110110100000000000011000110000000000000000000000000000110001111000000000111111000111111111001111001100000000000000000000000011000011100000011110011100111100011110000111000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000110100000000001111011010000000000001100110000000000000000000000000000010000111000000000001110000000010000100001100011000000000000000000000001110111111111111111111111111111111111111111110011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110011110000000000111101101000000000000110011100000000000000000000000000011111111100000000000111110000000000000000000001100000000000000000000000011011111111111111111111111111111111111111111101100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011001111000000000111100011110000000000011011111110000000000000000000000001000111110000000000011111000000000000000000000011000000000000000000000001110000000000000000000000000000000000000000001110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110111110000000011110001111000000000001111000011110000000000000000000001100000111000000000001100000000000000000000000001100000000000000000000001111000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111000000011111000110111000000000111100000011111000000000000000000100001111110000000001111000000000000000000000000011000000000000000000111111110000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111100001011111111111111111111111011100000000011111100000000000000010001111111100000000111110000000000000000000000001100000000000000011111000011100000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111110011100000000000001111100000000000011000000011111000000111111000000000000000000000000010000000000001111100000000111000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110000000000000000000000010000011100000000000000000111111000000001100000011111111111111000000000000000000000000000001000000001111111000000000001110000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011100000011111111111011001100011000000000000000000000011111110000110000011001111111111110000000000000000000000000000110000111111000000000000000011100000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111100001111111111101100100111000000000000000000000000000111111110000000001111111111011000010000000000000000000000011111111000000000000000000000111000000000000000000000000000000111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111100111111111110000011110000000000000000000000000000000011111000000000110110111100100011110000000000000000000001111100000000000000000000000001111000000000000000000000000000111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111000000000000111100000000000000000000000000000000000001100000000010010011010000111011100000000000000000000110000000000000000000000000000011111000000000000000000000001111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111111111111000000000000000000000000000000000000000010000000000001001000001110000011000000000000000000011000000000000000000000000000000011111000000000000000000011111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111111110000000000000000000000000000000000000000001000000000000000000001110011100111000000000000000001100000000000000000000000000000000011111100000000000000111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000011100011110001110000000000000000110000000000000000000000000000000000011111111110011111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000011100001001100001100000000000000011000000000000000000000000000000000000011111111111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000111000000111110000011100000000000001100000000000000000000000000000000000000000011111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000110000000001110000000011000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000001111111111111110110000000110000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000111111111111111111111111111110000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000001100000000000000011111111111000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000100000000000000001001110011000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000010001110000111000110011001100000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000001001111000110110011111111110000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000100100100011001001011111011000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000010010010001101100100111001100000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000001001111000111100110011100110000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000100000000000000011001110011000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000010000000000000001101111001100000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000001000000000000000110111100110000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000100000000000000011110011011000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000010000000000000001111001111100110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110000000000000000000000011100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011110000000000000000000111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111000000000000011111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111111111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000

Это текст приветствия:

А вот некоторые картинки из словаря:

Мне нравится, как придумано. Вот только поймут ли это братья?

Cosmic Call 2003

Этот проект — развитие Детского послания 2001 года. Только теперь в нём участвует не только радиотелескоп в Евпатории, но и радиотелескоп в Розвелле (США). Да-да, это тот самый Rosewell, с которого в 1947 началось сумасшествие с НЛО. И объём послания сильно вырос.

Продолжение следует

Что ж, на сегодня это всё. Будем ждать ответа от братьев по разуму. Ясно, что если они получат что-нибудь такое, они должны понять, что это послание, а не просто явление природы.

Last modified 2018-11-08

--> в самое начало